Hire the best Field-Programmable Gate Array (FPGA) Specialists in Egypt
Check out Field-Programmable Gate Array (FPGA) Specialists in Egypt with the skills you need for your next job.
- $45 hourly
- 4.9/5
- (47 jobs)
I am a full-time digital design engineer. I have a broad knowledge of digital design in ASIC and FPGA using both VHDL and Verilog. Interested in VLSI careers in both front-end (RTL) and back-end (syn, pnr....). I started my career on freelancing platforms 4 years ago, - Helping researchers and industry people to develop their digital designs, implement their papers. - Solving code problems. - Optimizing designs for speed, area and power. Through these projects I have improved my technical skills, applied my knowledge and grown my network with people around the globe. I have customers in USA, EU, China, India, Pakistan ... and many other countries, all of them were happy with their work done and so would you :) I am using the following tools and languages; FPGA : Vivado, ISE, Diamond and Quartus. ASIC : VCS, DVE, DC, formality and spyglass HDL : Verilog, System Verilog, VHDL. Scripting : Python, Perl, tcsh, csh, tcl.Field-Programmable Gate Array (FPGA)
SystemVerilogVLSIVerilogFPGAVHDLCadence PlatformDigital ElectronicsDigital Design - $45 hourly
- 5.0/5
- (17 jobs)
I'm a telecommunication engineering tutor. I used to research in the field of wireless, wired communications and networks. Also, I'm a profession in design, simulation and implementation of communications systems. As I have high experience in some simulation tools and languages as: 1- MATLAB 2- GNURADIO 3- Python (with many applications in different fields). Also, the implementation of some systems using: 1- Microcontrollers (Arduino, Raspberry Pi,etc). 2- FPGA. I'm a signal and systems tutor regarding Signals and Classification of the Signals, Properties of Continuous Time Signals and Discrete Time Signals, Classification of the Systems, Convolution Integral, Introduction to Linear Time Invariant (LTI) Systems, Properties and application examples of CT LTI Systems, Properties and application examples of DT LTI systems, FIR Filters, Real Time Programming and Simulation of DT Systems, Signal Processing Domains, Transforms and Laplace Transform of LTI Systems, Frequency Response of the Systems, Fourier Transform and its Applications, Spectral Analysis with Fourier Transform, Modulation, Convolution in frequency domain, Z Transform of DT Systems and convolution, Fourier Analysis of Discrete Time Signals and Systems, Fast Fourier Transform. In addition, all the topics related to communication systems as analog and digital communications, data communications, wireless communications, information theory, satellite communications, mobile communications and 5G. For MATLAB simulation projects, there are analog systems communications like analog modulation, AM, FM and PM. Also, many types of digital modulations as QAM, PAM, MPSK and orthogonal QAM. Also, a complete system as OFDM and FBMC have been simulated. Also, new techniques as OFDM index modulation and various types of that are simulated. Although, I have a good knowledge and experience in artificial intelligence and how to use AI in various topics and simulate the effect using MATLAB and python. I have done some projects using AI in communication engineering and in coding using TensorFlow packages in python and other packages in MATLAB. Different packages may be used to support the work make it more professional and easier. Finally, I'm about to be an international certified instructor in AI and machine learning. For GNURADIO simulation projects. Basically, the simulation of OFDM real time project using SDR for receiving the physical layer data of the nearest communication tower and it is completely done in the LAB. Moreover, the analog and digital communication systems. And in the last few days the inserting of AI technology using TensorFlow was done. The design of different embedded python blocks is processed in these days. For more I have a good experience in python, VHDL and VERILOG programming languages which give a flexibility in design and mor than one way for simulation and implementation. Fo more I can use different micro controllers which may support any project in some phases of work. In the field of research, I have also a good experience in that. Basically, in the wireless communications as OFDM, OFDM IM, FBMC, NOMA, LORA and different multiple access techniques. Also, the research in IOT and V2X was important to me. And all of these is provided with technical writing. On the other hand, I'm an international certified network engineer and instructor. There is an availability for simulation of networks using different tool like packet tracer and ENSP with a complete documentation and explanation.Field-Programmable Gate Array (FPGA)
Digital Signal ProcessingArtificial IntelligenceMachine LearningCommunication DesignVerilogPythonVHDLComputer NetworkNetwork EngineeringElectrical EngineeringMATLABTensorFlowWireless CommunicationFPGASimulink - $40 hourly
- 5.0/5
- (42 jobs)
Digital IC Design RTL Modeling, FPGA & IP/SoC/Embedded Development Engineer. Offering: - FPGA/ASIC RTL solutions in (System)Verilog, and VHDL. - Embedded C Coding. - Multilingual Tutoring. -------Your success is my priority------- Available Round-the-Clock | Flexible Pricing | Prioritizing Service Excellence My Experience: I've worked extensively with FPGAs, utilizing various FPGA boards from different vendors such as AMD(Xilinx)/Intel(Altera)/Efinix. Also experienced in ASIC designs, I've worked with Synopsys tools, with TCL scripts and GUI for DesignCompiler, Formality. Proficient in documentation using: - LaTeX: for professional documentation. - Markdown: for GitHub repos. - Microsoft Word. Let's collaborate and bring your project to life. Reach out to discuss the details, and I'll be here to assist you at any time. Additional Key Skills: - STA and CDC. - Communication protocols such as: UART - SPI - I2C - AXI. - Programming languages such as: C/C++. - Knowledge in scripting Language : TCL - Python . Tools: Vivado IDE • Quartus prime • Efinity IDE • VS Code • Xilinx ISE • ModelSim/QuestaSim • MATLAB • Git/GitHub.Field-Programmable Gate Array (FPGA)
Embedded SystemElectronicsCTcl/TkXilinxSystemVerilogDigital ElectronicsVHDLVLSIVerilogMarkdownEmbedded CC++MATLABFPGA - $75 hourly
- 5.0/5
- (1 job)
Currently, I am exploring a career opportunities, with the ability to relocate to suit with the applied job , I’m introducing myself, as I have more than 20 years’ of total experience, combined from many fields , starting in the military field with its all hard conditions , plus my experience in the civilian sector, equipped with a strategic mindset, Practiced in project management with strong interpersonal, communication, problem solving and decision making skills. Ability to establish and maintain strong alliances, lead and motivate teams, drive projects to successful completion, convey complex technical requirements/data, and exceed customer expectations. Experienced in managing large-scale industrial and commercial projects. Proven ability to identify business opportunities and provide the management and technical expertise to sales representatives to achieve revenue targets. A small brief of latest Mega projects scale: Full Design of ( EPC& M) 18.7 MW roof top solar power plant on Egypt new capital governmental buildings (ACUD Project ) . Making full feasibility study for : Dried fruit factory with budget 1 million USD Steel pipe factory with budget of 5 million USD Telecommunications tactical radios with budget of 85 million USD OLED lighting Bulb factory of budget 375 million dollars I hope to find my experience useful for you.Field-Programmable Gate Array (FPGA)
Organic & Natural StyleMicrocontroller ProgrammingFPGABusiness DevelopmentPresentationsManagement SkillsManagement ConsultingProblem ResolutionDesign ThinkingDesign EnhancementProblem SolvingDesign AnalysisWireless CommunicationC++Solar Energy - $60 hourly
- 5.0/5
- (2 jobs)
With over five years of experience in SoC design, verification, and implementation, Ahmed Zaky Ghonem is a Graduate Research Associate at The Ohio State University, where he is pursuing his MS degree in Electrical and Electronics Engineering. He specializes in low-power and high-performance solutions for AI workloads and brain-inspired computing, using cutting-edge technologies such as RISC-V processors, embedded FPGAs, deep learning accelerators, and emerging memory technologies. He has led and contributed to several successful tapeouts of SoCs based on these technologies, achieving state-of-the-art energy efficiency and performance. He has also developed the entire digital physical design flow infrastructure for GF22nm technology and created a custom package and evaluation board for the fabricated SoC. He has published multiple papers in international journals and conferences and won awards and honors for his research and innovation. He is passionate about advancing the nano-electronics and nanotechnology fields and creating impactful societal applications.Field-Programmable Gate Array (FPGA)
COMSOL MultiphysicsVerilogCircuit DesignFPGAElectronic Circuit DesignVLSIIntegrated CircuitDigital ElectronicsDigital DesignSystemVerilogScientific ResearchNanotechnologyMATLABPythonC++ - $25 hourly
- 5.0/5
- (8 jobs)
FPGA, Digital IC design and verification Engineer passionate about working on various and new complex projects. I have also experience with ASIC design flow (RTL-Synthesis-DFT-Formal Verification-PnR) and some with the FPGA design flow. Open for hiring and projects.Field-Programmable Gate Array (FPGA)
ScalaMicrosoft WordMicrosoft PowerPointPowerPoint PresentationMATLAB ScriptMATLABPythonLinuxVLSICVerilogSystemVerilogVHDLFPGAC++ - $20 hourly
- 5.0/5
- (2 jobs)
I am a graduate student and graduate teaching assistant from Cairo, Egypt with a degree in electronics and electrical communications engineering focusing on digital integrated circuits. I have extensive experience delivering tutorials in basic and advanced college-level STEM subjects such as Math, Physics, digital design, Machine learning, semiconductor devices, electrodynamics and MEMS design.Field-Programmable Gate Array (FPGA)
FPGAVLSIElectronicsAstronomyData AnalysisScientific ComputationTutoringDeep LearningPython Scikit-LearnMachine LearningScientific ResearchMathematicsPhysicsPythonC++ - $10 hourly
- 5.0/5
- (6 jobs)
I’m a hardware/Software enthusiast developer with experience in: - Setup SQL database servers and design shema. - Designing RTL projects with Verilog. - Build automation setup for testing regressions. - Monitor FPGA resources consumption and setup proper visualization techniques. - Perl/Python scripting languages for parsing output logs and results. - Good knowledge in C/C++. - Setup dashboards to visualize machines resource consumption logs and statisitical analysis over business model data.Field-Programmable Gate Array (FPGA)
MariaDBMySQLVimPrometheusDashboardSystem AdministrationFunctional ProgrammingJenkinsGrafanaSQLPerlVerilogSystemVerilogPythonFPGA - $6 hourly
- 5.0/5
- (1 job)
I am a fresh graduate engineer specialized in digital verification and mems looking to work on projects i like as a freelancerField-Programmable Gate Array (FPGA)
English to Arabic TranslationVerilogMATLABWireless CommunicationTinkercadDigital ElectronicsFPGAProteus Design SuiteAVR MicrocontrollerSystemVerilogVHDLCArduinoElectronicsCommunications - $15 hourly
- 5.0/5
- (0 jobs)
I'm an experienced electronic enthusiast specializing in designing PCBs and proficient in embedded programming for microcontrollers such as STM32, AVR, and FPGA. I have a strong background in circuit design analysis and can bring innovative and reliable solutions to your projects. Essential skills and qualifications include: -) PCB Design: Proficient in using industry-standard tools for schematic capture and PCB layout, ensuring optimal signal integrity and manufacturability. -) Embedded Programming: Well-versed in programming microcontrollers and FPGA, including but not limited to STM32 and AVR, with a focus on creating efficient and robust firmware. -) Circuit Design Analysis: Experienced in conducting thorough circuit simulations and analyses to optimize designs for performance, reliability, and cost-effectiveness. -) Project Management: Adept at leading projects from inception to completion, managing timelines, budgets, and resources effectively to deliver high-quality results within deadlines. Let's collaborate to turn your ideas into reality. I am looking forward to hearing from you soon! Omar ibrahim.Field-Programmable Gate Array (FPGA)
COMSOL MultiphysicsDigital ElectronicsAnalog ElectronicsCFPGAElectromagnetic Simulation SoftwareAVR MicrocontrollerEasyEDASTM32Electronic WorkbenchMultilayer PCBPrinted Circuit BoardEmbedded SystemPCB DesignElectronics - $25 hourly
- 5.0/5
- (3 jobs)
I have more than 12 years experience in Embedded systems design, the main field of experience is FPGA programming, using VHDL, verilog and system verilog. I also have experience with working with Xilinx ISE, Vivado, Intel Quartus, Liberos SOC and Diamond LatticeField-Programmable Gate Array (FPGA)
Digital Signal ProcessingMATLABPIC ProgrammingARM MicrocontrollerMicrocontrollerVerilogVHDLPythonC++CSTM32ArduinoMicrocontroller ProgrammingFPGAEmbedded Application - $10 hourly
- 5.0/5
- (1 job)
SUMMARY : Digital IC enthusiast, Dedicated with a passion for continuous learning and self-development. Proficient in HDL languages with expertise in digital IC design and verification methodologies, alongside strong scripting and problem solving skills. A quick learner committed to delivering high-quality results in all endeavors. PROJECTS : --RISC-V single cycle : - Designed and implemented single cycle RISC V based on “Merl” Architecture then synthesized it using Synopsys DC - Tested RISC V with assembly instructions . ---------------------------------------------------------------------------- --Cache Memory : - Designed and implemented a 512-byte one level Cache system using direct mapping method and Integrated it with RISC V ----------------------------------------------------------------------------- --Combinational N-bit Multiplier/Divider : - Implemented a parametrized combinational multiplier/divider in VHDL using Booths’algorithm and Restoring Division algorithm - Implemented a Testbench to test the combinational multiplier/divider to test it’s behavior at normal cases and corner cases . ------------------------------------------------------------------------------ --Convolution Accelerator : - Implemented input and kernel memories and a cyclic queue using pointers for output. - Implemented a floating-point multiplier for convolution operation. ------------------------------------------------------------------------------- --Full Custom Decoder : -Designed a full custom 4:16 Decoder using Synopsys Custom Compiler with 32nm technology node. ------------------------------------------------------------------------------- --Verification of Serial Adder using UVM : -Built a testbench using UVM and tested the design under various scenarios. -------------------------------------------------------------------------------- --Design And Implementation of Single Carrier Transceiver : - Implementation of the Transmitter and contributed in the Receiver. - Integration of other blocks into the system. - Searching through DSP literature for potential solutions to digital communications issues.Field-Programmable Gate Array (FPGA)
SystemVerilogWireless CommunicationC++LaTeXDigital DesignPythonPerlTcl/TkIntegrated CircuitFPGAVerilogVHDL - $100 hourly
- 0.0/5
- (0 jobs)
- Programming - Object-Oriented Programming - Verilog - C++ - Data Structure - Linux - Multisim - LtSpice - Microsoft Word - Digital Design - Git - ModelSim - C - Java - Embedded Software Design - Keil uvision - QuartusField-Programmable Gate Array (FPGA)
Embedded SystemSystem AdministrationC++CJavaFPGAMachine Learning ModelMachine LearningArtificial Intelligence - $20 hourly
- 4.9/5
- (85 jobs)
As an Electrical Engineering graduate, I am fond of real life business problems that involve technology and that can challenge me intellectually. Accordingly, I like to code functional programs and scripts, specifically in Python, C++, C# and VBA; can easily adapt to other languages whenever required. I consider myself to be pretty efficient at getting things done within the required instructions and I do my best to not let clients go on bad terms. Willing to work as a web scraper, manipulating Excel data, creating programs that interact with platforms that have cleanly developed APIs and basically any sort of program automation.Field-Programmable Gate Array (FPGA)
Visual Basic for ApplicationsData ScrapingPythonScriptingMicrosoft ExcelScrapyDigital ElectronicsFPGAAmazon MWSC# - $30 hourly
- 5.0/5
- (17 jobs)
Hi, this is Bavly. I am an electronics engineering graduate interested in several topics, including PCB design. I have several years of experience with Altium Designer software. You are welcome to contact me to discuss your project in detail and how we can achieve your business goals effectively and efficiently. I can help in: ✔ PCB Design using Altium. ✔ Product Development. ✔ Rapid Prototyping. ✔ Firmware engineering.Field-Programmable Gate Array (FPGA)
Design SimulationPCB DesignElectrical EngineeringElectronicsPrinted Circuit BoardMicrocontroller ProgrammingCircuit DesignMATLABAltium DesignerArduinoCFPGAEmbedded SystemElectronic DesignHardware Prototyping - $25 hourly
- 5.0/5
- (1 job)
I'm a software engineer. I develop software in C/C++/python languages. I worked as a frontend developer.Field-Programmable Gate Array (FPGA)
ARM Cortex-MVerilogGitHubFPGAEmbedded SystemEmbedded CSTM32F4Raspberry PiArduinoAVR Microcontroller - $30 hourly
- 5.0/5
- (1 job)
I am a digital design engineer. I studied nanoelectronics engineering bachelor's and have experience with FPGA and ASIC, Digital Design flow, and Deep Learning. I have more than one year of experience in freelancing websites.Field-Programmable Gate Array (FPGA)
ElectronicsElectrical EngineeringElectronic Circuit DesignDigital ElectronicsVerilogSystemVerilogElectronic DesignDigital DesignFPGADigital Engineering - $10 hourly
- 5.0/5
- (1 job)
Objective Hardware Design Engineer. Summary Experienced problem solver who worked on many projects and challenges. My accumulative grade is Distinction with Honors, 3rd in my department. I am very talented, have many skills that help me adapt to any situation, and am highly committed and hardworking.Field-Programmable Gate Array (FPGA)
Electronic DesignDigital EngineeringElectronicsDigital ElectronicsAutomationCDatabaseLinuxVerilogSystemVerilogEmbedded SystemDigital DesignEmbedded CFPGAHardware Design - $5 hourly
- 0.0/5
- (0 jobs)
Welcome there!🥰 I'm a dedicated Electronics and Electrical Communications undergraduate with a strong passion for embedded systems , digital design , and FPGA development . Proficient in C/Embedded C and RTL design using Verilog. Currently exploring the application of DSP world and other fields. Eager to apply theoretical knowledge to RealWorld applications and contribute to innovative projects in the field. Seeking opportunities to collaborate with industry professionals and expand my understanding of the embedded systems ecosystem.Field-Programmable Gate Array (FPGA)
FPGAVerilogEmbedded CC++C - $17 hourly
- 0.0/5
- (0 jobs)
Iam a digital ic designer experienced in building digital ics and implement either on fpga or asic. Skills: Verilog HDL , simulation programs like: questasim-modelsim-vivado, synthesis using synopsis dc, pnr using cadence tool, DFT insertion and formalityField-Programmable Gate Array (FPGA)
AVR MicrocontrollerEmbedded SystemEmbedded CSynopsisDigital ElectronicsElectrical EngineeringVerilogFPGA - $20 hourly
- 0.0/5
- (0 jobs)
Offering: - FPGA RTL solutions in Verilog, and VHDL. - Embedded C Coding. FPGA : Quartus. HDL : Verilog, System Verilog, VHDL. Embedded systems designField-Programmable Gate Array (FPGA)
TestingARM MicrocontrollerFPGAVerilogElectrical EngineeringEmbedded SystemC - $12 hourly
- 1.8/5
- (2 jobs)
I have worked on the design and development of FPGA devices for Altera. I have also been involved in the testing and verification of these devices. I have contributed to the development of software tools for programming and configuring FPGAs. In addition, I have helped create applications using FPGAs I have experience in FPGA design and Verilog/VHDL programming. I am familiar with the Xilinx ISE/ Vivado tools and Altera Quartus II. I have also used Modelsim for simulation purposes. In addition, I possess good analytical and problem solving skillsField-Programmable Gate Array (FPGA)
BootstrapVLSILinuxPCB DesignElectronic DesignTest PlanSystemVerilogFPGAVHDLVerilogC#C++ - $20 hourly
- 0.0/5
- (0 jobs)
I am a dedicated hardware engineer with a passion for designing and developing cutting-edge electronic systems. With expertise in power electronics and microcontroller (MCU) boards, I specialize in creating reliable and efficient hardware solutions tailored to your needs. What I Offer: ✅ Power Electronics Design – From power supplies to energy-efficient circuits ✅ MCU-Based Hardware – Embedded systems, sensor integration, and custom designs ✅ Experience with AVR, PIC, and STM MCUs – Tailored solutions for various applications ✅ Prototyping & Testing – Ensuring reliability and functionality I bring a detail-oriented approach to every project, ensuring high-quality designs that meet industry standards. Whether you're developing a new product or improving an existing design, I’m here to help bring your ideas to life. Let’s collaborate on your next project! Feel free to reach out to discuss how I can assist you.Field-Programmable Gate Array (FPGA)
Electronic DesignEmbedded SystemESP32FPGADigital DesignPrototypingHardware DesignAnalog ElectronicsMultilayer PCBEmbedded CPower ElectronicsAltium DesignerPCB DesignElectronics - $25 hourly
- 4.3/5
- (83 jobs)
As an embedded system engineer and PCB designer, I bring a unique blend of skills and experience to the table, allowing me to seamlessly integrate hardware and software solutions to create innovative embedded systems. With a solid foundation in electrical engineering and computer science, I have a deep understanding of both the hardware and software aspects of embedded systems design. My expertise spans across the entire product development lifecycle, from conceptualization and prototyping to production and testing. In the realm of hardware design, I excel in creating high-performance PCB layouts that are optimized for functionality, reliability, and manufacturability. Leveraging my proficiency in industry-standard design tools and techniques, I ensure that every PCB design meets the highest quality standards and adheres to strict design specifications. On the software side, I specialize in embedded software development, firmware programming, and device driver implementation. Whether it's coding in C/C++ or Python, I have the skills to develop efficient and robust software solutions that seamlessly integrate with the underlying hardware architecture. My track record includes successfully delivering complex embedded systems projects across various industries, including [mention specific industries or applications]. Whether it's designing embedded systems for IoT applications, automotive electronics, consumer electronics, or industrial automation, I thrive on solving challenging problems and delivering innovative solutions that exceed expectations. With a passion for technology and a commitment to excellence, I am dedicated to pushing the boundaries of embedded systems design and making a positive impact in the world through cutting-edge innovation. If you're looking for a versatile and experienced embedded system engineer and PCB designer to bring your ideas to life, I'm here to help Skills: - Embedded systems targeting (AVR, ARM, MSP430, PSoC) Architecture. - Arduino programming. - Electronic and analog circuit design. - PCB routing. - FPGA - Altium Designer, OrCAD, KICAD, DipTrace, PADS, and Eagle - Controlled impedance routing. - RF circuits. - High-speed single routing. - Schematics and footprint library creation. - Mixed single circuits design. - Rigid-flex board design. - Component sourcing. - DFM and DMA experience. - IPC design standards.Field-Programmable Gate Array (FPGA)
OrCADPADS Mentor GraphicsFPGAElectronic Circuit DesignAltium DesignerKiCadPCB DesignFirmwareEagleAtmel AVRArduinoEmbedded SystemMultilayer PCBEmbedded CEasyEDA - $45 hourly
- 0.0/5
- (0 jobs)
Highly motivated and results-oriented ASIC and FPGA Design Engineer with huge experience in the design, implementation, and verification of complex digital systems. Proven expertise in [List key skills: e.g., VHDL/Verilog, SystemVerilog, UVM, Synthesis, Place & Route, Timing Closure, Xilinx/Intel FPGAs, ASIC Flow]. Passionate about delivering high-quality, cost-effective solutions for challenging engineering problems. Eager to collaborate with clients on innovative projects and contribute to their success. Skills * Hardware Description Languages: VHDL, Verilog, SystemVerilog * Verification Methodologies: UVM, SystemVerilog Assertions (SVA), Functional Coverage * Synthesis & Implementation: Synopsys Design Compiler, Cadence RTL Compiler, Xilinx Vivado * Place & Route: Cadence Innovus, Xilinx Vivado * Timing Closure: Static Timing Analysis (STA), Clock Domain Crossing (CDC) * FPGA Platforms: Xilinx (Virtex, Kintex, Artix), Intel (Stratix, Arria) * ASIC Flow: Synthesis, Place & Route, Physical Design, Sign-off * Scripting Languages: Python, Perl, TCL * Tools: D.C., Spyglass, Quartus Prime, Vivado, MATLAB/Simulink, ModelSim, QuestaSimField-Programmable Gate Array (FPGA)
Digital ElectronicsFPGAComputer NetworkComputing & Networking - $5 hourly
- 0.0/5
- (0 jobs)
-Circuit Design -PCB Designer -Antenna designer - CST Microwave Studio -ANSYS HFSS -ARDUINO IDE -Sonar equipment Operation and maintenance -Radar operator and maintenance maintenance . -MATLAB -Arduino IDE -C++C++ -Logo Design -Adobe Photoshop -Radar System Engineer and underwater acoustic -MicrowaveField-Programmable Gate Array (FPGA)
PythonJavaScriptC++RFIDFPGAArduino FirmwareArduino IDEEmbedded SystemMicrocontroller ProgrammingPCB DesignApp DesignElectronic Circuit DesignRF Microwave DesignRADAR Construction Management RADARAntenna Design - $20 hourly
- 0.0/5
- (0 jobs)
I'm an Embedded Hardware and Software Engineer with expertise in designing and developing embedded systems. My skill set includes working with microcontrollers, ARM-based processors, and FPGA development. I have experience with C/C++, Python, and embedded Linux for firmware and driver development. On the hardware side, I'm proficient in circuit design, PCB layout, and interfacing peripherals like sensors, communication modules, and displays. I have worked with platforms such as STM32, ESP32, and Raspberry Pi, as well as FPGAs like ZYNQ and Nexys 4. I am passionate about developing optimized, real-time embedded solutions and ensuring seamless hardware-software integration.Field-Programmable Gate Array (FPGA)
ComputerComputer Hardware DesignComputer HardwareComputer EngineeringComputer BasicsArduinoEmbedded ApplicationElectronicsPCB DesignDigital DesignFPGA Want to browse more freelancers?
Sign up
How hiring on Upwork works
1. Post a job
Tell us what you need. Provide as many details as possible, but don’t worry about getting it perfect.
2. Talent comes to you
Get qualified proposals within 24 hours, and meet the candidates you’re excited about. Hire as soon as you’re ready.
3. Collaborate easily
Use Upwork to chat or video call, share files, and track project progress right from the app.
4. Payment simplified
Receive invoices and make payments through Upwork. Only pay for work you authorize.