Hire the best Field-Programmable Gate Array (FPGA) Specialists in India

Check out Field-Programmable Gate Array (FPGA) Specialists in India with the skills you need for your next job.
  • $25 hourly
    As a hardware and PCB designer with more than 8 years of expertise, I have worked on numerous hardware designs and development projects. I have created PCB designs, IoT, ASIC, FPGA, and microcontroller-based hardware that is space-qualified. Here is a list of specific fields of expertise applied to my latest projects; - Microcontroller, SoC, and Control modules. - Analog/Digital interfaces: I/O, ADC, DAC, PWM, Comparator. - Communication ports: SPI, I2C, Serial, SDI, MIPI, IR, HDMI, SATA. - Power Supply Design: Linear, LDO, Switching Buck/Boost. - RF up to 2.4GHz. - IoT sensors: Temperature, humidity, pressure, magnetic, etc. - Wireless technologies: Bluetooth, Zigbee, WiFi, GSM, GPS, Cellular. If you are looking to design a PCB(1,2,3,4--16) layer for your Circuit, then you are in the right place. I can also help with the schematic design and manufacturing process and deliver finished prototypes of your products. If you don't have a schematic, send me your requirements. I will create a schematic and PCB. Softwares: For PCB Designing, I Mostly use the latest version of Altium Designer, but I can also use other software: * Orcade * Zuken CADSTAR * Porteous * Eagle * KiCad * EasyEDA I can provide output : * Schematic Design * PCB Design * Gerber files for manufacturing * Bill Of Materials (BOM) * 3D Models of PCB * DXF file for Mechanical drawing, etc. Why me? • Provide revisions until you are 110% satisfied. • Meets deadlines and does not compromise on quality. • Ensures timely updates with responsibility. Don't hesitate to reach out to me. I'm interested to hear what you are working on, and I'll be honest about what I can contribute.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Analog Electronics
    Internet of Things Solutions Design
    WiFi
    Bill of Materials
    FPGA
    Bluetooth
    Microcontroller
    Electronic Circuit Design
    Altium Designer
    ESP32
    PCB Design
    Hardware Design
    Circuit Design
    Arduino
    Digital Electronics
  • $24 hourly
    As an Electronics Engineer, I can turn your concepts to cutting-edge technology. With a solid foundation in hardware design, embedded systems, PCB design and digital electronics, I bring more than just skills – I bring solutions. Skills: Hardware Design and PCB Design Microcontroller programming on: ARM Cortex Cores, Tiva C series, Arduino, Raspberry Pi I speak multiple languages, not just Python and C/C++, but also Verilog/VHDL. Tools known: KiCAD Code Composer Studio Git MATLAB Quartus But it's not just about the tech. With emotional intelligence, creative problem-solving, and a first-principles thinking approach, I'm a persistent and quick learner. I can handle both individual projects and team collaborations, even under pressure. Together, let's turn your electronics projects into reality. Whether it's turning your embedded ideas, crafting innovative circuits, or troubleshooting electronics mysteries, I've got you covered from start to finish. Let's connect and turn your electronics dreams alive!
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Power Electronics
    Xilinx
    Microcontroller
    Microprocessor
    Embedded System
    Verilog
    Arduino
    FPGA
    Internet of Things
    Circuit Design
    Electronics
    KiCad
    Embedded C
    Electronic Circuit Design
    PCB Design
  • $60 hourly
    tl;dr; I am an ASIC/FPGA expert with over 2 decades of experience designing ASICs for Intel, LSI, Texas Instruments and others. ASIC FPGA Design is not an individual task, It requires a well knit team to handle RTL, Verification, Validation and PnR. I run an small ASIC/FPGA Design consultancy and we generally allocate a team of 1-RTL + 2-Verification resources for each project task. Due to this we propose a weekly fixed price team contract of 2400 USD/Week instead of an hourly billing. **Professional Summary:** I am 1. An experienced ASIC/FPGA designer 2. With contribution to over a few dozen chip tapeouts in tech nodes from 7nm to 180nm, in a career spanning over 2 decades 3. With expertise in various domains, including Communications (WiFi, Ethernet), Imaging (DTV, Display), and SOC (ARM, RISCV). I possess a comprehensive skill set encompassing System Architecture, RTL Design (Verilog, VHDL, SystemVerilog, Bluespec), Verification (Cocotb), Synthesis, and Validation. Additionally, I have a strong understanding of firmware and device driver development processes. I am available for: Long term projects with a minimum budget of $5000 requiring engineers to perform roles in 1. SOC and IP Architecture 2. RTL Coding(Verilog, Systemverilog, VHDL, Bluespec Systemverilog & Chisel), & Verification(Cocotb, UVM) 3. FPGA based Validation. 4. Synthesis + STA **Areas of Expertise:** I specialize in the following domains: 1. Communication: PCIe, Ethernet, PCS, MAC, Serdes, WLAN 2. Cryptography 3. Video & Display Technologies 4. DSP 5. SoC and subsystem development 6. Processors: ARM, RISCV **Keywords:** Verilog, ASIC, FPGA, Synopsys, Xilinx, Altera, Intel, Vivado, Quartus, Modelsim, VCS, Synthesis, Perl, Tcl, ARM, RISC-V, VHDL, BSV, cocotb, UPF, ASIP **Work Experience:** Throughout my career, I have worked with the following technologies and contributed to various projects: 1. Networking and Communication: Ethernet Subsystems, Wireless LAN, DOCSIS, DTV 2. Cryptography 3. Display Subsystems and Video Processing 4. Microcontrollers & Peripherals 5. ARM and RISCV based subsystem design I am proficient in Verilog, VHDL, SystemC, SystemVerilog, Perl, Tcl, and Python (cocotb, myHDL). I have successfully contributed to the tapeout of over a dozen ASICs. **Why Hire Me?** If you are seeking a team of professionals from Silicon Architects to junior coders who can independently or with minimal supervision drive the entire process of turning your idea for an IP/Chip into a reality, I am the right candidate for you. With my expertise and the expertise of my team, we can handle the complete lifecycle, including studying product requirements/industry standards, proposing suitable architectures and work plans, defining micro-architectures, driving verification, implementing and verifying designs, and validating the final product. **Employment Details:** 1. Current: Dyumnin Semiconductor (7 Years) - Co-Founder, CEO 2. Texas Instruments: (10 Years) - RTL Lead 3. Intel (6 Years) - Architect Ethernet Subsystems 4. CEO of a company providing ASIC design consultancy to multiple startups in RISC-V, AI/ML domain Feel free to reach out to me to discuss your project requirements and how I can contribute to its success.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    KiCad
    Perl
    Python
    C
    Embedded System
    Circuit Design
    Digital Electronics
    Electronic Design
    Xilinx
    Hardware Design
    Verilog
    SystemVerilog
    VHDL
    FPGA
    VLSI
  • $35 hourly
    With a diverse background spanning product-level design, FPGA hardware, and high-speed circuitry, I bring a wealth of experience to the table. From crafting intricate schematics to meticulously designing PCB layouts, I've spearheaded projects across the spectrum of IoT innovation. Proficient in Altium Designer, KiCad, Eagle, Orcad Capture, Xpedition DX Designer, and Cadence Allegro, I've crafted cutting-edge solutions that push the boundaries of technology. My portfolio boasts a range of groundbreaking creations, including: 1. 5G NR Macrocell Radio Unit: Harnessing the power of Zynq UltraScale+ for unparalleled performance. 2. High-Speed Link Data Rate Converter: Leveraging Xilinx Kintex UltraScale+ to achieve lightning-fast data conversion. 3. 5G NR Small Cell Radio Unit: Integrating Zynq UltraScale+ for compact yet powerful radio solutions. 4. GPS Tracker for Vehicles: Certified with AIS140, ensuring precision tracking and compliance. 5. ORAN ORU Solution: Providing seamless integration and efficiency for Distributed Unit Tasks. 6. USB Hub: Streamlining connectivity with reliability and speed. 7. Beacon-based Home Security Appliances: Revolutionizing home security with BLE protocol and Tuya module integration. 8. Gaming Controller Design: Delivering immersive gaming experiences with precision engineering. 9. Weighing Scale with OLED Display From concept inception to product realization, I've navigated every stage of development with precision and expertise. My passion for innovation drives me to continuously push boundaries, creating solutions that redefine what's possible in the world of technology.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Consumer Electronics
    Xilinx
    Bluetooth LE
    FPGA
    Hardware Troubleshooting
    Hardware Prototyping
    Hardware Design
    STM32
    Altium Designer
    PCB Design
    Electronic Circuit Design
    Embedded System
    ESP8266
    Arduino
    ESP32
  • $60 hourly
    I am an Electronics Engineer with a Passion for Precision. As an Electronics Engineer, I bring strong analytical skills to the table, allowing me to break down complex problems and develop concise and high-quality solutions. I’ve worked extensively with various digital signaling protocols such as I2C, SPI, UART, Ethernet, USB, and MIPI. I've worked on FPGAs across brands—Altera/Intel, Actel/Microsemi/Microchip, Xilinx/AMD, Lattice, and Gowin—I’ve designed peripheral IP controllers and Systems-on-Chip (SoCs). My experience extends to Camera and Display/LCD integration within FPGA-based systems. I’m well-versed in microprocessor/microcontroller internals and have developed firmware using C. In last few years, I also gained experience in 2/4 layer PCB developments and successfully rolled out 50+ boards for customers. [Experience and Beyond] Since 2002, I’ve been part of the electronics design industry. As a full-time freelancer since 2016, I’ve gained insights from various fields and industry levels. Whether it’s a compact project or a grand-scale endeavor, I thrive both independently and collaboratively. [Services Offered] - RTL/Verilog HDL based system/IP development - FPGA based system/IP development - Microcontroller based system/firmware development - 2/4 layer PCB development - Turnkey FPGA/Microcontroller based system design—from concept to product [Skills and Tools] - SoftProcessors: Nios II, MicroBlaze, ARM Cortex M1 - FPGA Tools: Quartus, Vivado, Synplify - Simulation Tools: Modelsim, IcarusVerilog, Xcelium - Languages: C, Verilog, Python - Microcontrollers: TI, ST, Atmel/Microchip, Nuvoton, Mindmotion - PCB Tool: KiCad - Version Control: SVN, Git [Get in Touch] If you’re seeking an RTL/Embedded/PCB designer who delivers exceptional value, feel free to drop me a message. Let’s collaborate on your next project!
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Git
    PCB Design
    KiCad
    Microcontroller Programming
    Embedded System
    Python
    Register-Transfer Level
    C
    Verilog
    FPGA
    Digital Electronics
  • $125 hourly
    I help businesses reduce time-to-market, build scalable structures, and optimize operations to achieve sustainable growth. With 12+ years of experience across Amazon, Airtel, and HPCL, I specialize in streamlining operations, driving efficiencies, and building go-to-market frameworks that deliver measurable impact. At Amazon, I led strategic programs, scaling operations to 120+ cities, driving ₹1,030 crore in GMV, and improving partner efficiency by 13%. What I Bring to the Table: Go-To-Market Execution & Acceleration – I specialize in creating repeatable, scalable playbooks that reduce time-to-market and drive faster adoption. Operational Excellence & Process Optimization – A structured, data-driven approach to improving supply chain and logistics performance. Program & Project Management – Expertise in managing complex, cross-functional initiatives with a focus on execution and impact. Business Scaling & Growth Strategy – Proven track record in designing frameworks to scale operations and drive revenue growth. Lean Six Sigma Master Black Belt – Ensuring process excellence and continuous improvement through industry-leading methodologies. I thrive on building ecosystems that enable teams to ship faster, better, and bigger. If you're looking to operationalize strategy, create lasting structures, and accelerate growth, let’s connect.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Budgeting & Forecasting Software
    FPGA
    Minitab
    Finance Manager nVision
    Analytical Presentation
    Financial Variance Analysis
    Process Optimization
    Process Documentation
    Process Engineering
    Data Model
    Modeling
    Statistical Analysis
    Process Design
    Program Management
    Strategic Planning
    Tableau
    Data Analysis
    Microsoft Power BI
    Microsoft Project
    Microsoft Excel
  • $25 hourly
    As an experienced RTL Design Engineer, I offer extensive expertise gained from working on various projects. I am proficient in multiple communication protocols, including AXI, AHB, APB, SPI, I2C, and USB. My skills extend to managing complex multi-clock designs, with a strong understanding of Clock Domain Crossing (CDC) and timing constraints. I also have experience with the MIPI protocol for camera applications and have worked extensively with Lattice CrossLink-NX boards, as well as a range of Xilinx FPGA boards. My background equips me to deliver high-quality RTL designs and make significant contributions to complex semiconductor projects.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Xilinx
    Problem Solving
    Scripting
    Bash Programming
    FPGA
    SystemVerilog
    Verilog
    VLSI
    Register-Transfer Level
  • $100 hourly
    Electrical Engineer & PhD Researcher in Power Electronics. I seek freelance opportunities to gain practical experience and contribute to challenging projects. I specialize in designing and developing custom electrical and electronic circuits, creating professional PCB layouts, and programming embedded systems and microcontrollers. Technical Skills: 1. Simulation & Modeling: MATLAB, PSIM, LTspice, Mathematica, COMSOL, Lumerical 2. Embedded Systems & Controllers: DSP TMS320F28379D, Lattice FPGA, Verilog, C, Python 3. Hardware Design: PCB layout, Power Electronics, and Control Systems 4. Programming & Scripting: Python, C, Bash, Linux I am ready to collaborate on projects that push boundaries and deliver innovative solutions. Let’s connect and create something impactful together!
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Linux
    Python
    C
    LaTeX
    KiCad
    COMSOL Multiphysics
    VHDL
    Verilog
    FPGA
    MATLAB
    Physics
  • $50 hourly
    Talented professional leader and dedicated problem solver with effective communication skills. Brings 30+ years of valuable expertise to enhance company objectives. Attentive to detail with experience in coordinating projects, programs and improvements. RF products development and RF PCB design will help the clients to achieve their objectives. Roles Handled: SCRUM Master, Wireless product developments, Professional Project management by applying Industry standards of Prince2. Effective communication and co-ordination with project stake holders.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Network Engineering
    FPGA
    Internet of Things Solutions Design
    Product Design
    RF Microwave Design
  • $40 hourly
    Career Objective I am a VLSI design Engineer and looking for opportunities that would enhance my knowlege of Electronics and VLSI Design Field. Ihave ggod knowledge of HDL Languages like Verilog and Embedded System Design using various microcontrollers. * To work in an organization that provides me an opportunity to grow as a professional as well as an individual and where my potentials can be completely utilized.?
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    FPGA
    Digital Design
    DigiByte
    Perl
    SystemVerilog
    Xilinx
    Proteus Design Suite
    Atmel AVR
    PCB Design
    ARM Microcontroller
    Microcontroller
    Embedded C
    VHDL
    Verilog
    VLSI
  • $50 hourly
    RTL/FPGA Design Engineer with experience in FPGA-based projects, along with experience in RTL design and vivado block design. Skilled in RTL coding, FPGA architecture, and digital design, with hands-on experience in Xilinx FPGAs and applications.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Xilinx
    Register-Transfer Level
    Verilog
    VHDL
    FPGA
  • $999 hourly
    PROFILE SUMMARY * Quick learner and Performance driven individual currently working as a Senior Design Verification Er- Emulation in NXP Semiconductors. * Area(s) of Interest: Emulation, FPGA prototyping, Pre-Silicon. * Hands-on Experience : Expanded serial peripheral interface (xSPI): Macronix MX25UM, MX25U NOR flashes, Micron MT29F, Winbond W35N NAND flashes. Gate level Emulation: DFT, PE-TE ATE and Power Estimation Team. Low power double data rate (LPDDR): DDR4 and DDR5 memory models with swizzling features. * Brief Overview of ASIC design flow, compilation of emulation RLE and GLE models. * Knowledge of protocols like AMBA AHB, APB, AXI, UART, MIPI, I2C, etc. * Good debugging and analytical skills for LTB T32, Verdi, Tarmac platforms * Worked on various Face recognition, detection and image processing algorithms.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Design Validation
    FPGA
  • $67 hourly
    "Innovative Embedded Engineer Specializing in Bluetooth and Firmware Development for Next-Gen Devices" PROFILE SUMMARY * A dynamic and results-driven Embedded Engineer/Firmware Engineer with 10+ years of experience in Bluetooth and Bluetooth Audio technologies, with deep understanding of developing and optimizing data structures using C and C++ for high-performance applications. * Deft in developing efficient data structures and algorithms using C and C++, enhancing system performance and resource utilization. * Profound working knowledge in Bluetooth Audio integration, ensuring optimal connectivity and audio quality for embedded systems. * Experienced with Linux and Windows platforms, facilitating cross-platform development and seamless software integration. Skilled in FPGA programming and board bring-up, proficient in handling both pre and post-silicon activities to streamline product development cycles.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Software Debugging
    Microcontroller
    FPGA
    Linux
    FreeRTOS
    Embedded Linux
    Embedded C
    Core Bluetooth
    Bluetooth LE
    Bluetooth
    Firmware Programming
    Firmware
  • $35 hourly
    OBJECTIVE Highly skilled Electronics and Communication Engineer with expertise in VLSI design, signal processing, FPGA implementation, and machine learning applications. Proven experience in developing hardware-software co-design solutions, optimizing real-time systems, and delivering robust prototypes for audio, biomedical, and industrial applications. Adept at collaborating in multidisciplinary environments to develop cutting-edge solutions aligned with digital and autonomous innovation.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Research Paper Writing
    Filters
    Neural Network
    Circuit Design
    Digital Signal Processing
    TensorFlow
    Python Scikit-Learn
    AI Classifier
    Machine Learning
    FPGA
    MATLAB
    Python
    VHDL
    SystemVerilog
    Verilog
  • $20 hourly
    I am an M.Tech graduate in VLSI Design with a strong background in RTL design, Verilog, and test bench creation. My experience as a Hardware Engineer Intern includes optimizing PCB designs, improving system reliability, and enhancing circuit performance. Proficient in tools like Xilinx ISE, Vivado, ModelSim, and Cadence Virtuoso, I have a track record of successfully completing projects like FPGA-based vending machines and 6T SRAM designs. Skills & Expertise: RTL Design, Verilog, VHDL FPGA Development (Xilinx 7-series, Basys-3, Artix-7) PCB Design & Optimization Embedded Systems (Arduino, Raspberry Pi) Tools: Xilinx ISE, Vivado, ModelSim, Cadence Virtuoso, TinkerCAD Key Projects: Developed a Xilinx Analog to Digital Converter using the 7-series FPGA board, improving data acquisition and system performance. Designed a 6T SRAM using CMOS technology, optimizing power management and reducing leakage. Created and implemented an FPGA-based vending machine, streamlining transaction processes and improving efficiency. Why Choose Me? I have expertise in both VLSI and Embedded Systems, including RTL design, Verilog, and PCB design. My skills enable me to deliver efficient and high-quality hardware solutions, from circuit design to FPGA systems and optimized PCB layouts. Let’s collaborate to bring your project to life.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Verilog
    VHDL
    Python
    C++
    C
    Hardware Prototyping
    Layout Design
    Embedded System
    Digital Electronics
    Schematic Capture
    Hardware Description Language
    Hardware Design
    FPGA
    Multilayer PCB
    PCB Design
  • $15 hourly
    Highly Skilled RTL Engineer with extensive professional Experience and special Qualification. Worked On a Defence and Aerospace Industry for past 3 years 6 Months as Engineer with expertise in FPGA RTL Design and Development and Also Involved in Full Product Design. Working in a VLSI Design Industry for past 6 Months as Senior Engineer in RTL Design.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Digital Electronics
    Verilog
    FPGA
    Linux
    VLSI
    SystemVerilog
    Digital Design
  • $12 hourly
    A dedicated and detail-oriented person seeking a position at a well-reputed organization that can launch my career and help me to build a stronger skill set by taking on this challenging position and expanding my knowledge
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Cadence Platform
    SQL
    Electronic Workbench
    Electronics
    FPGA
    Python
  • $25 hourly
    Have more than 10 years of experience in Electronics product design and developed below products. 1. Autonomous mobile robot (AMR) for warehouse automation 2. Long endurance surveillance drone 3. Video processing card 4. Transmission controller unit 5. X-Band transmitter and Receiver card 6. Multi-band SDR 7. Digital MODEM hardware 8. Solid state digital video recorder (SSDVR) 9. 64-channel Data acquisitions card 10. 5V to 2KV DC-DC converter Also have expertise in product manufacturing.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Warehouse Management
    Drone
    Robotics
    AI Implementation
    IOTA
    Power Electronics
    RF Microwave Design
    RF Design
    Embedded System
    Verilog
    PCB Design
    FPGA
    Manufacturing
    Contract Manufacturing
  • $20 hourly
    I am most comfortable with Embedded Electronics, both Hardware and Software design. Has worked with ESP32, STM32 with STM32CubeIDE, Arduino and other microcontrollers. Has experience working with C, Python, C#, and HTML basics and networking related to embedded and IoT devices. Arm-based Linux SBCs like Raspberry Pi and others. Worked extensively in instrumentation, analog, and digital sensing, with basic knowledge of DSP and FPGA.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    FPGA
    PCB Design
    Arduino
    STM32
    Embedded C
    ESP32
    Microcontroller
    Electronics
  • $20 hourly
    I am an enthusiastic and skilled FPGA and Embedded Systems Engineer with a strong background in Verilog, SystemVerilog, and VLSI design. I specialize in developing and verifying complex digital and embedded systems, with a keen interest in FPGA-based solutions and microcontroller-based applications. My passion lies in translating innovative ideas into efficient and reliable hardware implementations. What I Do Best: FPGA Design & Development: Expertise in RTL design, simulation, synthesis, and implementation using industry-standard tools such as Xilinx Vivado, Vitis, and Intel Quartus. Embedded Systems Development: Proficient in working with microcontrollers (ARM Cortex, AVR, PIC), developing firmware in C/C++, and integrating peripherals for real-time applications. Verilog & SystemVerilog Expertise: Skilled in writing efficient and modular HDL code for digital design and verification. Digital System Design: Experience in implementing custom architectures such as RISC processors, FIR filters, and memory subsystems. Embedded Software & Firmware: Hands-on experience in developing and debugging firmware, integrating sensors, and optimizing performance for embedded applications. Verification & Testbench Development: Capable of writing SystemVerilog testbenches to validate FPGA and ASIC designs using UVM methodologies. Communication Protocols: Familiar with SPI, I2C, UART, and CAN protocols for embedded system communication and data transfer. Signal Processing on FPGA: Experience in implementing DSP algorithms for real-time processing applications. CMOS & VLSI Fundamentals: Strong understanding of CMOS design principles and VLSI concepts for ASIC/FPGA development. I am continuously exploring new technologies and staying updated with industry trends to deliver efficient and high-quality solutions. If you're looking for a dedicated professional to bring your ideas to life in the field of FPGA and embedded systems, let's connect!
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Electronic Circuit Design
    Mathematics
    Image Processing
    Analog Circuit
    Analog Electronics
    Layout Design
    Embedded System
    Arduino
    MATLAB
    Digital Signal Processing
    VHDL
    SystemVerilog
    Verilog
    FPGA
    Digital Electronics
  • $25 hourly
    I am a design engineer experienced in FPGA based designs. I am comfortable with both VHDL and verilog languages. I have 7+ years of experience in FPGA Design and verification role. I have worked on Xilinx, Intel, microsemi and Lattice FPGA's.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Xilinx
    Engineering & Architecture
    Electrical Engineering
    FPGA
    VHDL
    Verilog
  • $15 hourly
    I am an experienced Embedded Software Engineer with 3+ years of expertise in developing firmware, embedded systems, and FPGA-based solutions. What I Offer: Embedded Software Development – Writing efficient, low-level firmware in C, C++ and Embedded C for microcontrollers and processors. FPGA & Digital Design – Expertise in VHDL and Verilog for FPGA-based applications Real-Time Operating Systems (RTOS) – Experience with FreeRTOS and Bare metal programming Device Driver Development – Developing and integrating I2C, SPI, UART, CAN, and other communication protocols in embedded systems. Embedded Linux – Continuously learning to gain experience in Yocto, Linux Kernel Development and Board Bring-Up for embedded Linux platforms. Debugging & Optimization – Using JTAG, GDB, oscilloscopes, logic analyzers and other tools for troubleshooting and improving system performance. Technical Skills: Programming: C, C++, Python, Embedded C, Bash Microcontrollers & Processors: STM32, PIC, AVR, ESP32, ARM Cortex-M, ARM Cortex-A Development Tools: STM32CubeIDE, Keil, GCC, Git, GitHub, GitLab, JIRA FPGA Development: Intel Quartus, Xilinx Vivado, ModelSim Embedded Networking & IoT: MQTT, Modbus, CAN, UART, SPI, I2C Hardware Debugging: JTAG, Logic Analyzers, Oscilloscopes Why Work with Me? I am detail-oriented and a problem–solver. I ensure that the firmware and embedded systems I develop are robust, scalable, and optimized for performance. Continuous Learning & Improvement – Currently upskilling in Embedded Linux, Kernel Development, and AI for Edge Computing. Dedicated & Professional – I put in the effort and long hours required to complete projects on time and exceed expectations. Regular communication is important to me, so let’s keep in touch. Are you looking for an Embedded Engineer who can develop, optimize and debug firmware and FPGA applications?
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Microcontroller Programming
    STM32
    MATLAB
    Verilog
    VHDL
    Digital Design
    FPGA
    Embedded System
    Embedded C
    Java
    Python
    C++
    C
    Engineering & Architecture
    Electrical Engineering
  • $3 hourly
    Hello there, I am good at finding the solution of problems, you just need to give me some time. Love to work in the field of micro controllers, FPGA, PCB designing, automation. I believe effective communication can save a lot of time and money. Lets get in touch :) Thank you.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Assembly Language
    Embedded System
    Microcontroller Programming
    System Automation
    Verilog
    SystemVerilog
    FPGA
    C++
    Python
    Raspberry Pi
    PCB Design
  • $3 hourly
    Hello! I am Siddharth Jha, a passionate and experienced Electrical Engineer specializing in VLSI design and verification. With a Bachelor of Technology in Electronics and Communication from the National Institute of Technology, Mizoram, and hands-on experience in industry-standard tools like Xilinx Vivado and CADENCE Virtuoso, I am equipped to handle a wide range of technical projects. My key skills include: VLSI Design and Verification Digital and Analog Circuit Design Verilog, System Verilog, C, TCL, MATLAB Programming Simulation and Synthesis using Xilinx Vivado and CADENCE Virtuoso PCB Design with P-Spice and KiCad I have successfully completed projects such as implementing a RISC-V processor core and designing an asynchronous FIFO memory module. My practical experience includes an internship at Entuple Technologies Pvt Ltd, where I developed Verilog modules for digital logic circuits and participated in rigorous verification processes.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Teaching Algebra
    Data Entry
    Electronic Design
    Analog Electronics
    VLSI
    Analog Circuit
    FPGA
    PCB Design
    KiCad
    MATLAB
    Ubuntu
    C
    Tcl/Tk
    Digital Electronics
    Xilinx
    Verilog
  • $50 hourly
    Experienced FPGA engineer, with deep knowledge in verilog and experience in software tools like Vivado and ALTIUM with post graduation in VLSI and embedded systems.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Microsoft PowerPoint
    Microsoft Excel
    Microsoft Word
    Microsoft Office
    FPGA
    SystemVerilog
    Verilog
    Altium Designer
    C
  • $3 hourly
    Proactive final-year ECE student at VIT with a focus on VLSI design and comprehensive experience in the ASIC design flow using Cadence tools. Proficient in RTL and logic verification (Cadence NCLaunch) and synthesis (Cadence Genus). Driven, adaptable, and committed to contributing effectively to innovative projects applying technical expertise in the semiconductor industry.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Digital Design
    Digital Electronics
    FPGA
    Cadence Platform
    SystemVerilog
    Verilog
  • $35 hourly
    Digital Design Engineer with experience in implementing computer vision and image processing algorithms in FPGA.
    vsuc_fltilesrefresh_TrophyIcon Field-Programmable Gate Array (FPGA)
    Verilog
    FPGA
  • Want to browse more freelancers?
    Sign up

How hiring on Upwork works

1. Post a job

Tell us what you need. Provide as many details as possible, but don’t worry about getting it perfect.

2. Talent comes to you

Get qualified proposals within 24 hours, and meet the candidates you’re excited about. Hire as soon as you’re ready.

3. Collaborate easily

Use Upwork to chat or video call, share files, and track project progress right from the app.

4. Payment simplified

Receive invoices and make payments through Upwork. Only pay for work you authorize.