Hire the best Xilinx Programmers in Pakistan
Check out Xilinx Programmers in Pakistan with the skills you need for your next job.
- $50 hourly
- 5.0/5
- (67 jobs)
To get top rated services, you need to hire top rated freelancer. With over 17 years of experience in electronics, we strive for success of businesses and products. Masters in the field of High speed PCB design, FPGAs, verilog,VHDL and circuit design, once chosen, We guarantee satisfaction at our end. Our team of engineers have worked on high speed PCBs stuffed with FPGAs, Processors, DDR2/3 interfaces, PHY chips and LVDS Signals all MANUALLY ROUTED. With IBIS models, We can SHOW you a PCB working on computer hence reducing risk of redesign. On FPGAs side, Our engineers have worked on most recent technologies like USB 3.0 Host IP core, DDR3 IP, 8051 IP and hybrid memory cube controller IP to name a few. We look forward to serve you professionally and up to your standards.Xilinx
SolidWorksSchematicArduino FirmwareMicrocontroller ProgrammingProduct DesignMicrocontroller DesignVerilogDigital ElectronicsMultilayer PCBPCB DesignMATLABOrCADAltium Designer - $40 hourly
- 4.9/5
- (29 jobs)
Solution Architect System Design based on System on chip reconfigurable platforms - development of high speed Embedded and FPGA based systems, handling the whole hardware and software aspect of it. Had my hands dirty with, - ZYNQ UltraScale ZCU111 RFSOC / ZCU102/ ALYNX - Zynq ZedBoard/MicroZed/Zybo/PYNQ/ custom designed zynq boards - ADI RFSOCs/FMCOMMS/USRPs/LimeSDR/iotSDR/pocketGNSS piksi GNSS/GPS and custom SDRs - Analog Devices High Speed ADC/DACs, DDS chips, RF Boards. - ASIC Emulation on S2C VU19 Board, 100G ethernet NIC System Verilog/VHDL/Xilinx SOC Dev Tools/Vivado Suite/Vivado HLS/Xilinx SDK, Xilinx System Generator. Matlab/GnuRadio/UHD/SoapySDR/adi libiio/iioscope/ Embedded Linux/Yocto/PetaLinux/ microblaze/ C, Python , ARM Processors, Rasberry PI/BeagleBoan/Nvidia Jetson SDR and comm RF Testing expertise on Agilent Vector Signal Analyzer, Rohde & Shawardz FSVR, Infiniium Oscilloscope, National Instuments AWG and VSA.Xilinx
MATLABVerilogFPGAPythonEmbedded LinuxC - $50 hourly
- 4.9/5
- (12 jobs)
I can work on different languages such as VHDL, Verilog HDL, SystemVerilog. I can work on MULTISIM software. Quartus Xilinx Vivado Logisim Can be done easilyXilinx
Integrated CircuitVHDLElectronic Circuit DesignAmplifiers & FiltersWeb DesignVerilogSystemVerilogEmbedded SystemCircuit DesignSchematicElectrical EngineeringNI MultisimMATLABFPGA - $35 hourly
- 4.5/5
- (16 jobs)
Greetings! I am an adept Analog and Digital Circuit Designer, specializing in Integrated Circuit (IC) design. With experience in 5 IC tape-outs utilizing different PDKs such as 28nm, 45nm, 65 nm, 110 nm, 130nm, and 180 nm, my expertise lies in designing and simulating Analog ICs (Schematic to GDSII), Digital ICs (RTL to GDSII), Mixed-signal integrated circuits (SoC), RISC-V Backend Design( RTL-GDSII) and RF ICs (upto 60GHz). Proficient in tools like Cadence Virtuoso, Mentor Graphics Calibre, Cadence Genus, Encounter/Innovus, Synopysis DC compiler, PrimeTime, ICC2, Custom Compiler, VCS, Xilinx, Vivado, Quartuas, Modelsim, Matlab, and OpenSource ASIC design tools like "Openlane." & "Xscheme". Proficient in Programming Language C/C++, Verilog, VHDL, and System Verilog. Eagerly await your response. Thank you.Xilinx
Electronic DesignOrCADAnalog ElectronicsDigital ElectronicsElectronicsElectronic Circuit DesignIntegrated CircuitAnalog CircuitCircuit DesignDigital DesignCadence PlatformMATLABVerilogMicrochip - $35 hourly
- 5.0/5
- (9 jobs)
As an experienced Avionics/Electronics Engineer, I bring a diverse skill set and 4+ years of expertise in electronics and circuit verification, hardware implementation using Verilog, Digital Signal Processing. My proficiency extends to LabVIEW, MATLAB, VIVADO, and various other engineering tools, with a particular focus on FPGA programming. I have honed my skills in working with Software Defined Radios and Direct RF generation using Xilinx (RFSOCs, Zynq paired with AD9361) and NI hardware (FlexRIO, CRIO, USRP, myRIO). Over the past three years, I have developed my capabilities as a LabVIEW/MATLAB developer, working with High Speed Data acquisition, and implementing Digital Signal Processing (DSP) chains and algorithms. In addition to my technical skills, I am committed to ensuring timely delivery of projects and maintaining open and clear communication with clients throughout the project tenure. My goal is to provide innovative solutions that meet the needs of my clients and contribute to the advancement of the industry. I am always open to new challenges and learning opportunities. I look forward to bringing my unique blend of skills and passion to your project. Please feel free to contact me to discuss how we can collaborate on your next project.Xilinx
FPGADigital ElectronicsEmbedded SystemMATLABC++NI MultisimDigital Signal ProcessingVerilogPhotographyMedia RelationsLabVIEWAnalog Electronics - $20 hourly
- 4.6/5
- (6 jobs)
Hello hope you are doing well. I am Electrical Electronics Engineer of top university of Pakistan.I am expert in Digital Logic Design, FPGA based Digital System Designing, Verilog HDL, VHDL, System Verilog and Digital Electronics. The following are my Expertise: 1.Gate Level based Modeling 2.Data Flow level based Modeling 3.RTL based designing 4.Behavioural Level Modeling 5.Synthesis and Implementation 7.Finite State Machines designing 8.Sequential Circuits Designing 9.Combinational Circuits Designing 10.IP core based designing 11.Counters/Registers/Ram/Rom designing 12.Adders/Subtractors/Multipliers/Dividers 14.Decoders/Encoders/Mux/De-Mux 15.Filters Designing 16.Signal Processing The following are the softwares that I prefer you for simulation: 1,Vivado Design Suite 2.Xilinix ISE 3.Modelsim Altera 4.Quartus 2 5.EDA playground I have experience in the following FPGAS boards for implementation: 1.Xilinx FPGAs, Artix-7, Nexys 2,3 and 4 boards 2.Zynq 702 & 706 FPGAs 3.ZYNQ 7020, 7035 and 7045 SOC FPGAs 4.Altera DE-10 Lite Board 5. Xilinix Spartan FPGAs. Spartan-3E FPGA development kit. 6. Intel FPGAs, Max10, Cyclone IV, Cyclone V.Xilinx
NI MultisimElectrical EngineeringAltium DesignerMachine LearningVerilogDigital DesignDigital ElectronicsArduinoPCB DesignEmbedded SystemMATLABMicrocontroller ProgrammingVHDLFPGA - $15 hourly
- 4.8/5
- (80 jobs)
I've been working as a programmer and designer with more than 6 years of experience and I have complete working team with me . We can help you with MATLAB, PYTHON, Deep learning, Machine learning (ML) and C++ programming. I also offer mathematics problem solving and tutoring tasks as well. If you have a project in any of these areas, please get in touch. I'm committed to giving you the best quality work. Thank you for considering me. 🔧 Services Offered: 🔧 MATLAB Programming SIMULINK Designing Electrical Circuit Design Data Analysis and Visualization Machine Learning and AI Applications Python Programming Deep learning Machine Learning (ML) computer vision Robotic control Control Systems Design Mathematics NUMERICAL ANALYSISXilinx
Documentary VideoVideo EditingImage Processing SoftwareSimulinkNumerical AnalysisControl EngineeringElectrical EngineeringElectronicsFPGAVerilogDigital Signal ProcessingMATLAB ScriptImage ProcessingMATLAB - $6 hourly
- 4.8/5
- (1 job)
Hey there! I'm Fahad Ahmad, your go-to FPGA Design Specialist. With a strong foundation in Verilog coding, digital signal processing, and MATLAB to Verilog conversion, I'm here to bring your ideas to life with precision and efficiency. I've successfully designed and implemented complex FPGA-based systems, including cutting-edge real-time image processing projects, using tools like Xilinx ISE and Vivado. I’m passionate about solving technical challenges and delivering optimized solutions that perfectly fit your needs. Whether you need a reliable expert for a one-time project or ongoing support, I’m ready to jump in and make your vision a reality. Let’s connect and get started on your next big project! Best, Fahad AhmadXilinx
PDF ConversionWhite Background PhotographyTransaction Data EntryEmail CopywritingBackground RemovalAdobe PhotoshopDigital Signal ProcessingMATLABCircuit DesignVerilogFPGAData EntryMicrosoft WordMicrosoft Excel - $20 hourly
- 4.9/5
- (26 jobs)
Having 3+ years of experience in: Hardware Design (FPGA): Proficient in FPGA design with Verilog HDL. Experienced in digital logic design, synthesis, verification, and real-time control systems on FPGA platforms. MATLAB: Skilled in data analysis, mathematical modeling, and algorithm development. Proficient in signal and image processing, control system design, numerical methods, simulations, and developing GUIs. Python: Expertise in Python for data science, and automation. Proficient with NumPy, Pandas, Matplotlib, TensorFlow, and PyTorch for various applications including machine learning. C++: Skilled in C++ for software and system-level programming, with experience in algorithms, data structures, OOP, and high-performance applications. Machine/Deep Learning: Experienced in machine learning and deep learning with tools like scikit-learn, Keras, and OpenCV. Capable of deploying and optimizing models for real-world use. Feel free to explore my portfolio for a closer look at my work. Have questions? Don’t hesitate to reach out. Best regards, Muhammad BilalXilinx
EncryptionCSystemVerilogVLSIObject DetectionCadence PlatformVerilogFPGAMachine LearningC++PythonMATLAB - $30 hourly
- 4.6/5
- (5 jobs)
I'm an Embedded FPGA Engineer specializing in Xilinx technologies with 3 plus years of hands-on experience. My expertise lies in FPGA design, firmware development, and high-speed digital design using tools like Xilinx Vivado & Vitis. I've worked on multiple projects that involved to bring-up custom PCB board (including high-speed interfaces) based on Xilinx Ultrascale+ series FPGAs. I am committed to delivering top-notch solutions, adapting to evolving requirements, and providing timely updates. Let's collaborate to elevate your FPGA projects . Message me to discuss how we can achieve success together.Xilinx
C++SystemVerilogFPGAMATLABEmbedded SystemVHDLPyQtVerilogFirmware ProgrammingPythonDigital DesignDigital Signal ProcessingEmbedded ApplicationElectrical Engineering - $25 hourly
- 5.0/5
- (24 jobs)
Graduated in 2011 and had been working in the field of embedded systems since then. After gaining a good deal of experience in this field I pursued a master’s degree in Embedded Systems to upgrade my knowledge, skills and academic profile. I have my experience and research interest in the field of designing real-time, fault-tolerant architectures for embedded signal processing. I have recently begin coding in Python also and inclined towards data analytics but it is new front for me, Besides core-technical work, I am equally sound in technical and non-technical writing and other IT related skills.Xilinx
Embedded SystemVHDLVerilogDigital DesignCPythonC++FPGAMATLABHardware Design - $7 hourly
- 5.0/5
- (2 jobs)
About Me: I am a passionate and dedicated individual specializing in digital system design and computer architecture. With a strong background in electrical engineering, I am currently a fourth-year student at the University of Engineering and Technology in Lahore, Pakistan. Throughout my academic journey, I have gained extensive knowledge and practical experience in various aspects of digital system design and computer architecture. I have successfully completed projects focusing on RISC-V, UART, and multiplier implementations, which have allowed me to develop a deep understanding of these concepts. In addition to my expertise in digital system design, I have also explored the exciting field of machine learning. I have undertaken projects involving image classification, voice recognition, and other machine learning applications. You can find detailed information about these projects on my GitHub profile at GitHub.com/MuhammadHuzaifa-stu. I am passionate about applying my skills and knowledge to real-world problems and finding innovative solutions. I am always eager to take on new challenges and learn from diverse experiences. With my strong foundation in digital system design and computer architecture, coupled with my enthusiasm for learning and growth, I am confident in my ability to contribute to any project or team. If you are looking for a dedicated and skilled professional in the field of digital system design and computer architecture, I would be thrilled to collaborate with you. Let's work together to bring your ideas to life and achieve outstanding results.Xilinx
PythonQt FrameworkQt CreatorC++Digital DesignSystemVerilogVHDLVerilog - $50 hourly
- 0.0/5
- (0 jobs)
I am an experienced Chip Design, Computer Architecture, and System Modeling specialist with a proven track record of delivering high-quality solutions in cutting-edge technologies. With expertise in RISC-V architecture, ASIC design, and open-source EDA tools, I am passionate about helping clients bring their innovative ideas to life. What I Bring to the Table Chip Design Excellence: Mastery in RTL-to-GDS flow using OpenLane and Skywater PDKs, ensuring optimized designs for performance, power, and area. System Modeling Proficiency: Developed advanced models for RISC-V and ARM processors, focusing on performance optimization and system integration. Architectural Innovation: Extensive experience in performance analysis and architectural optimization using tools like gem5 and VisualSim. Technical Expertise: Skilled in programming with SystemVerilog, Python, and C++, and proficient in industry-standard tools like Cadence, ModelSim, and Xilinx. Research Leadership: Published impactful research on simulation acceleration and architectural verification, showcasing my ability to push technological boundaries. Key Achievements Successfully booted Linux on custom-designed RISC-V cores, showcasing expertise in hardware-software integration. Led the design and implementation of a 22nm chip, optimizing every step of the design flow from synthesis to routing. Delivered training and educational modules for system modeling, empowering teams with actionable skills. Organized and led gem5 and RISC-V hackathons, driving innovation and collaboration within the tech community. Let’s Collaborate! If you’re looking for a professional with a deep understanding of chip design, computer architecture, and system modeling, I’m here to help. Whether it’s optimizing your design, modeling complex systems, or delivering cutting-edge solutions, I’m committed to exceeding your expectations. Let’s work together to make your project a success!Xilinx
Data StructuresVerilogSystemVerilogMATLABLinuxComputer EngineeringAlgorithmsMachine LearningFPGAIntegrated CircuitMicrochipComputer AssemblyComputer Hardware DesignVLSI - $35 hourly
- 0.0/5
- (0 jobs)
I like to work in the field of embedded systems, controls and artificial intelligence. Whether you want to design a control system, or implement a specific algorithm or generate a technical report I can help. Knows c, c++, python Matlab, micro controller programming, xilinx, LatexXilinx
OrCADProteus Design SuiteMATLAB - $45 hourly
- 4.8/5
- (2 jobs)
Hi, I am a Website developer and working on websites for the last 3 years and managed many websites internationally and nationally. Few are law firms from the UK, and US and rural electrification companies. We can discuss your project beforehand.Xilinx
ChatbotArduinoElectrical EngineeringWebsite OptimizationWixDigital Ad CampaignMarketingSearch Engine Optimization - $60 hourly
- 4.6/5
- (17 jobs)
◾Cryptography ◾ C/C++, Python, Bash Scripting ◾Information security ◾ Reverse Engineering ◾ Malware Analysis ◾ Network Security ◾ Research and Development (Research Paper) ◾ Information security Article writing ◾ Academic assignments and problem solving ◾ Cryptology +▪️Encryption +▪️PKI +▪️Post Quantum Cryptography(PQC) +▪️Multi-Party Computation(MPC) +▪️Digital Signature and Certificates +▪️Authentication and Identification +▪️Hash Functions +▪️Protocols and mechanism +▪️Key Establishment and Management +▪️Zero Knowldge Proof +▪️ECCXilinx
Security AnalysisLinuxAcademic ResearchEncryptionPenetration TestingReverse EngineeringFPGAVerilogCUDAPythonC++CInformation SecurityCryptography - $30 hourly
- 4.8/5
- (11 jobs)
I am a passionate, precise and results-oriented hardware engineer with a focus on electronic systems with deep experience in all practical aspects of product design. I enjoy working on complex and diverse projects with different applications such as industrial, automotive, IoT, and medical sectors. Services: • Electronic/Hardware product design, including but not limited to system design, component selection, schematic drawing, PCB layout & routing, reviewing, and troubleshooting. • Prototyping, assembly and validation of boards with up to hundreds of SMD components with their tools and equipment. • Advising on project feasibility, cost estimations. • Arranging turnkey manufacturing, helping with scaling a prototype into mass manufacturing, and dealing with 3rd parties such as PCB plants, component suppliers, test laboratories, machining, and injection molding shops. Skills: • Multi-layer PCB design with up to 10 layers • Mixed signal design with high-speed interfaces such as Gigabit Ethernet, USB FS/HS, HDMI, DRAM, LVDS and others. • Analogue design with dedicated IC’s and discrete components. • Digital design with discrete logic gates, microcontrollers of any variety, and FPGA, CPLD, and System On Chip (Zynq 7010/7020) • Rendering of PCBs and assembled products for presentation purposes • Embedded software with C/C++, Verilog, and computer firmware/driver development.Xilinx
ElectronicsAWS IoT CoreMicrocontrollerFPGAAltium DesignerEmbedded SystemEmbedded CPCB DesignSTM32FirmwareESP32BluetoothnRF52C++ - $5 hourly
- 0.0/5
- (1 job)
An Embedded Systems Engineer with five years of experience specializing in low level software development and embedded hardware design.Xilinx
PCB DesignEmbedded SystemInternet of ThingsProteus Design SuiteKiCadZephyrFreeRTOSArduinoESP32STM32Raspberry PiPythonEmbedded C - $5 hourly
- 0.0/5
- (3 jobs)
I’m a developer and a presenter with experience in working with small ,medium sized embedded systems also in making presentations. Whether you are trying to win work, list your services or even create your projects-I can help! I’m experienced in Arduino , mikroC for PIC , and PowerPoint I’ll fully project manage your brief from start to finish Regular communication is really important to me, so let’s keep in touch!”Xilinx
Layout DesignMicrosoft PowerPointPICPIC ProgrammingLoRaESP32GPSESP8266MATLABPythonAltium DesignerProteus Design SuiteC++Arduino - $10 hourly
- 5.0/5
- (2 jobs)
I have a hands on experience with FPGA designing in Verilog using Vivado as well as Xilinx ISE. I have created some custom IP's like: UART, I2C, Camera module (OV7670) and VGA etc. Following are the services i can provide: • Creating Custom IP's • Teaching you how to get started in FPGA designing until you are able to design your first IP. • Troubleshooting your code. I also implement Discrete logic circuits (without microcontrollers) with PCB Design. Projects include: Back Scrubber, Whack a Mole. Water level detector, an Alarm system..Xilinx
Microsoft Visual StudioPythonC++VerilogFPGAESP32Electrical Engineering - $15 hourly
- 5.0/5
- (1 job)
A skilled content writer and graphic designer adept at crafting engaging and persuasive content across various mediums. Proficient in researching and producing high-quality articles, blog posts, website copy, and social media content. Expertise extends to graphic design, with a keen eye for visual aesthetics and layout. Experienced in creating captivating visuals to complement written content. Versatile across industries including technology, business, marketing, and lifestyle. Committed to delivering well-written, SEO-friendly content, coupled with visually appealing design, to achieve clients' objectives. Detail-oriented and adaptable to different writing styles, tones, and design preferences. Dedicated to meeting deadlines and ensuring excellent client satisfaction through a seamless blend of compelling copy and stunning visuals. Additionally, proficient in digital marketing strategies including SEO, SEM, content marketing, and social media management. Experienced in leveraging analytics and insights to optimize content and campaigns for maximum reach and engagement. Skilled in email marketing, pay-per-click advertising, and influencer collaborations to enhance brand visibility and drive conversions. Dedicated to staying updated with the latest digital marketing trends and best practices to deliver innovative and effective solutions. Combining content writing, graphic design, and digital marketing expertise to provide a comprehensive approach to achieving clients' marketing and business goals.Xilinx
Content StrategyDigital MarketingFreelance MarketingAdobe IllustratorAdobe Premiere ProContent WebsiteAutoCAD Civil 3DCanvaManagement SkillsContent WritingProteus Design SuiteSketchUpProject ManagementAutodesk AutoCAD - $10 hourly
- 5.0/5
- (1 job)
I am a LabVIEW FPGA Design Engineer with over four years of experience in developing and testing high-speed data acquisition systems on NI FlexRIO Transceivers and NI USRP. Additionally, I have worked with various NI devices, including CompactRIO (CRIO), myRIO, CDAQ, myDAQ, and PXIe Chassis. Beyond NI platforms, I have hands-on experience with embedded systems such as ESP32, STM32, Raspberry Pi, and Arduino, including real-time development using FreeRTOS. I am also proficient in industrial communication protocols, including RS485, RS422, Modbus RTU, EtherCAT, CAN, TCP/IP, UDP, SPI, I2C, and SSI. In addition, I have expertise in Machine Learning (ML), Deep Learning (DL), and Reinforcement Learning, applying these techniques to tasks such as computer vision, dynamic scene understanding, and real-time decision-making for embedded and robotic systems.Xilinx
PythonArduinoFPGALabVIEWMATLAB - $7 hourly
- 0.0/5
- (0 jobs)
About Me Welcome to my profile! If you are looking for expert of FPGA, Verilog, VHDL, VLSI, Vivado, Xilinx ise, modelsim, Vitis HLS Projects, reports, simulation and coding? If so I am a highly skilled Electronics Engineer with 1 year of experience and a passion for tackling complex technical problems. I specialize in providing top-notch solutions in the field of Electronics Engineering. As an MS Electronics Engineering student, I am on a thrilling journey of exploration and learning in the fascinating realm of electronics and technology. Being an enthusiast for hands-on experiences, I actively participate in various electronics-related projects and workshops. This involvement has allowed me to gain practical skills, such as circuit prototyping, soldering, and using simulation tools, which are invaluable in translating theoretical concepts into real-world applications.Xilinx
C++SystemVerilogVerilogVHDLFPGA - $10 hourly
- 0.0/5
- (0 jobs)
Welcome! We are a team of highly skilled engineers specializing in PCB Design, Verilog, RTL, and SystemVerilog for FPGA and ASIC development. With years of experience across both hardware design and digital circuit development, we bring comprehensive solutions to your projects, whether they involve advanced PCB designs or digital logic design. Our agency has a proven track record of delivering high-quality, reliable solutions in PCB design, digital system design, and hardware verification for industries ranging from consumer electronics to automotive, medical devices, and telecommunications. We handle everything from PCB layout and routing to FPGA and ASIC design, and we ensure that our designs meet the highest standards of performance, manufacturability, and efficiency. Our Services: PCB Design: *Schematic Design: Using Altium, Eagle, KiCad. *PCB Layout & Routing: Optimized for space, signal integrity, and manufacturability. *DFM (Design for Manufacturability): Ensuring cost-effective, quality manufacturing. *Gerber Files & BOM: Complete manufacturing documentation. *Prototyping & Testing Support: Testing to ensure functional designs. Digital Design & FPGA/ASIC: *RTL Design: Verilog/SystemVerilog for FPGA/ASIC development. *FPGA/ASIC Design & Simulation: Using ModelSim, Vivado, Quartus. *HDL: Verilog/SystemVerilog for digital design and verification. *FPGA Implementation: From RTL to synthesis and timing closure. *ASIC Design & Verification: Full-flow design, simulation, and verification. *System-Level Verification: Using SystemVerilog and UVM. Why Choose Us? *Expertise Across Disciplines: PCB design and digital hardware. *Experienced Team: Engineers skilled in design, implementation, and verification. *End-to-End Solutions: From schematic design to final verification. *High-Quality & Efficient Designs: Meeting tight deadlines and high standards. *Tailored Solutions: Custom solutions for unique project needs.Xilinx
PSPICEOrCADEagleAltium DesignerKiCadEasyEDAFPGASystemVerilogVerilog - $30 hourly
- 0.0/5
- (2 jobs)
I have more than 6-year of experience with Xilinx and Altera FPGA and Zynq SOC. I develop the code both in VHDL and Verilog. 1.) I can help you with designing the 2.) High-Speed Serial/ Parallel interface. 3.) Standard interfaces like 4.) SPI 5.) I2C 6.) UART 7.) VGA 8.) HDMI 9.) CSI (Camera Serial interface) 10.) PCIe 11.) YCbCr Composite video input 12.) Ethernet 13.) Mathematical Computational Model Like 14.) FFT(Fast Fourier Transform) 15.) Machine learning Algorithms 16.) Real-time Image processing model Custom Infercae Designing for you Custom interfacing applications. I also have the license version of all necessary Softwares like Modelsim ActiveHDL Xilinx ISE Xilinx Vivado Quartus and more.Xilinx
Arduino FirmwareVHDLVerilogESP8266Hardware DesignFPGAMATLABESP32Arduino - $40 hourly
- 0.0/5
- (0 jobs)
I am a System Design Engineer. I have done a lot of work as an industrial solution provider and student projects supervisor—my expertise in the embedded design is in the following areas. 1-Raspberrypi & Jetosn Nano(GUI, Machine learning, Control system, and automation) 2-FPGA Verilog programmer 3-python (machine learning, GUI development, control system ) 4-MATLAB (GUI, Machine learning, telecommunication simulations) 5-Arduino(Control system) 6-Circuit Design and debugging 7-Labview(UI,Automation) 8-ESP32 ,ESP8266,Firebase 9-MIT App InventorXilinx
PIC ProgrammingDigital Signal ProcessingRaspberry Pi FirmwarePyQtSimulinkFPGAArduinoEmbedded LinuxMATLAB ScriptCommunication DesignVerilogPythonPython Scikit-LearnMachine Learning - $35 hourly
- 3.9/5
- (7 jobs)
✅ Introduction Hello! I'm an FPGA and Embedded Systems Engineer with a degree in Electronics Engineering and 8+ years of hands-on experience in FPGA development. I specialize in Verilog, VHDL, SystemVerilog, and High-Level Synthesis (HLS). Whether you're working on cutting-edge AI/ML acceleration, high-performance computing, custom hardware design, or innovative IoT solutions, I am here to turn your ideas into reality. Let’s collaborate to bring your projects to life with efficient, scalable, and high-quality FPGA-based solutions. Feel free to reach out and discuss your needs! My services cater to: Entrepreneurs and startups needing custom FPGA-based solutions for their products. AI/ML developers seeking to accelerate algorithms with hardware-based acceleration on FPGAs. Engineers working on audio/video and image processing using FPGAs. Developers needing hardware offloading solutions for compute-intensive tasks. Crypto enthusiasts looking to optimize FPGA designs for mining or similar applications. Anyone needing support with digital design, RTL coding, or FPGA firmware development. ✅ Areas of Expertise Custom Chip Design: Tailored FPGA solutions for niche applications. High-Speed Interfaces: PCIe, Ethernet, USB, and other protocols. Algorithm Acceleration: AI/ML, video/image processing, and more. Digital Design: Counters, FSMs, ALUs, encoders/decoders, and complex RTL modules. RISC/MIPS Processors: Custom processor design and integration. Testbench Development: Simulation and validation of designs. FPGA-Based Gaming: Custom gaming logic implementations. Error Correction Codes (ECC): Parity, Hamming, and Reed-Solomon solutions. ✅ Technology Stack 🔷 FPGAs AMD/Xilinx: Artix, Zynq, Virtex Intel/Altera: Cyclone, Stratix, Arria Lattice: ECP5, MachXO2 Microsemi/Actel: SmartFusion, IGLOO 🔷 Embedded Systems STM32, Atmel, PIC, ESP32, Arduino Raspberry Pi, MAX30102 sensors 🔷 Tools & Environments Vivado, Quartus, ModelSim, Xilinx ISE Vivado HLS, Vitis, SDAccel Lattice Diamond, GHDL, GTKWave, Yosys OSS-CAD-Tools for open-source FPGA workflows 🔷 Programming & Scripting Verilog, VHDL, SystemVerilog Python, Embedded C/C++ 🔷 Communication Protocols UART, SPI, I2C, CAN PCIe, Ethernet, USB, GSM, Wi-Fi, Bluetooth ✅ Why Choose Me? Client-Centric Approach: I prioritize your project requirements and deliver customized solutions. Efficiency & Quality: I focus on creating optimized, reliable, and scalable designs. Clear Communication: Regular updates and prompt responses ensure seamless collaboration. End-to-End Support: From architecture design to final testing, I’ve got you covered. Let’s work together to make your project a success. Message me today to get started!Xilinx
Artificial IntelligenceDigital Signal ProcessingVideo ProcessingImage ProcessingEmbedded SystemElectronicsEngineering SimulationEmbedded CSystemVerilogFPGAVHDLFirmwareBlockchain DevelopmentVerilog Want to browse more freelancers?
Sign up
How hiring on Upwork works
1. Post a job
Tell us what you need. Provide as many details as possible, but don’t worry about getting it perfect.
2. Talent comes to you
Get qualified proposals within 24 hours, and meet the candidates you’re excited about. Hire as soon as you’re ready.
3. Collaborate easily
Use Upwork to chat or video call, share files, and track project progress right from the app.
4. Payment simplified
Receive invoices and make payments through Upwork. Only pay for work you authorize.